[arXiv'23] ChipGPT

ChipGPT: How far are we from natural language hardware design

Kaiyan Chang, et.al. on May 23, 2023
doi.org
obsidian에서 수정하기

Abstract

ChatGPT와 같은 대형 언어 모델(LLM)이 전례 없는 기계 지능을 보여주면서, 자연어 상호작용을 통해 하드웨어 엔지니어가 더 효율적인 논리 설계를 실현하는 데 큰 성과를 보였습니다. LLMs가 지원하는 하드웨어 설계 프로세스의 잠재력을 평가하기 위해, 이 연구는 자연어 사양에서 하드웨어 논리 설계를 생성하는 자동화된 설계 환경을 시연하려고 합니다. 보다 접근 가능하고 효율적인 칩 개발 흐름을 실현하기 위해, 우리는 재교육이나 미세 조정 없이 LLMs를 기반으로 한 확장 가능한 4단계 제로 코드 논리 설계 프레임워크를 제시합니다. 첫째, ChipGPT 데모는 LLM을 위한 프롬프트를 생성하고, 이를 통해 초기 Verilog 프로그램을 생성합니다. 둘째, 출력 관리자는 이러한 프로그램을 수정하고 최적화한 후 최종 설계 공간에 수집합니다. 궁극적으로, ChipGPT는 이 공간을 검색하여 목표 메트릭 하에 최적의 설계를 선택합니다. 평가 결과, LLMs가 일부 사양에 대해 자연어로 설명된 정확하고 완전한 하드웨어 논리 설계를 생성할 수 있는지를 조사했습니다. 이를 통해 ChipGPT가 프로그래밍 가능성, 제어 가능성을 향상시키고, 사전 연구 및 기존 LLMs 단독 사용보다 더 넓은 설계 최적화 공간을 제공한다는 점이 밝혀졌습니다.

Figure

figure 1

figure 2

figure 5

figure 6

figure 7

figure 8 figure 8

figure 9 figure 9

figure 10 figure 10

figure 11

Table

table I

table III

table IV table IV

table V table V

table VI

table VII